Tutorials

About Tutorials

Test and Health Monitoring under Approximations and Variations

Abstract: Process and dynamic variations including voltage and temperature fluctuations, crosstalk interaction or aging effects complicate distinguishing between defects, reliability threats, and benign behavior. New compute paradigms like approximate computing aggravate the problem since they may hide malicious reliability threats. This tutorial introduces into the most recent techniques for offline and online test and health monitoring under variations and presents simulation and test generation techniques to overcome the multi-dimensional variation space. Case studies show, how error rate monitoring under dynamic voltage/frequency scaling and approximate computing and communication lead to improvements of performance, power consumption and reliability at the same time.

Tutorial Speaker

Hans-Joachim Wunderlich

Bio: Hans-Joachim Wunderlich is Professor Emeritus of the University Stuttgart and a Life Fellow of IEEE. He received the diploma degree in mathematics from the University of Freiburg, Germany, in 1981 and the Dr. rer. nat. (Ph.D. degree) from the University of Karlsruhe in 1986. Since 1991, he has been a full professor. From 2002 to 2018, he was the director of the Institute of Computer Architecture and Computer Engineering at the University of Stuttgart, Germany. He has been associate editor of various international journals and organizer of a variety of IEEE conferences on design, test and fault tolerance of electronic systems. He has published 15 books and book chapters and around 300 reviewed scientific papers in journals and conferences. His research interests include test, reliability, fault tolerance and design automation of microelectronic systems.

Design Automation of Analog Circuits

Abstract: Manual design processes are time-consuming and often rely heavily on designers' experiences. Design automation offers a solution by leveraging algorithms and tools to streamline the design process, optimize performance, and reduce the time-to-market. In this tutorial, we will cover the TED system, transistor sizing and physical design algorithms for analog circuits. The tutorial is scheduled as follows.


Tutorial Speakers

Zuochang Ye

Zuochang Ye received his Ph.D degree from Tsinghua University in 2007. He has been worked as a research scientist in Cadence Research Laboratories at Berkeley. He is currently an Associate Professor with the School of Integrated Circuits, Tsinghua University. His is mainly working on analog design automation.

Liwen Zhuo

Liwen Zhuo is a senior product manager at Empyrean Technology Co. He has 18 years of experience in the EDA (Electronic Design Automation) industry. His research areas include analog integrated circuit design platform, analog integrated circuit design automation & optimization and intelligent layout & wiring.

Zhaori Bi

Bi Zhaori, Assistant Researcher at the State Key Laboratory of Integrated Chips and Systems, Fudan University. Currently engaged in research on analog integrated circuit design automation, development and theoretical research of high-performance computer algorithms, nonlinear optimization theory, and medical artificial intelligence. Dr. Bi obtained his Ph.D. in Computer Engineering from the University of Texas at Dallas in 2017. He is an Associate Editor of the Integration journal and serves as a reviewer for EDA journals such as TCAD, TCAS-II, TBE, and TODEAS.

Keren Zhu

Keren Zhu is currently a research assistant professor in the Department of Computer Science and Engineering at The Chinese University of Hong Kong. In 2022, he received his Ph.D. from the Department of Electrical and Computer Engineering at The University of Texas at Austin, USA. He earned his B.S. in Electrical Engineering with the highest distinction from the University of Wisconsin-Madison, USA, in 2016. Dr. Zhu's research focuses on physical design automation, analog integrated circuit design automation, machine learning for EDA, and computing systems with emerging technologies. During his Ph.D. studies, Dr. Zhu was a key contributor to the development of MAGICAL, an open-source analog layout automation software. He has authored dozens of technical papers on electronic design automation, circuit design, and machine learning, which have been published in leading venues, as well as multiple book chapters. His research has received recognition through nominations for best paper awards at several conferences.

Agile Design Tools for In-Memory Computing Systems: from Macro Circuit to Architecture

Abstract: In-memory computing (IMC) or processing-in-memory (PIM) is an emerging technology for non-Von-Neumman architecutre, where computations are performed directly within the memory itself, rather than being sent to a separate central processing unit (CPU). Thanks to the tight coupling between computing logics and memory designs, IMC’s latency and power consumption are much better than traditional architecture. In addtion, leveraging either analog circuit or custim digital gates, IMC also features good energy efficiency. From an Electronic Design Automation (EDA) perspective, however there lacks mature tools to complete a IMC system as agile as traditional digital design. The tutorial provides an overview of the latest advancements in agile compute in memory tools , from macro to architecture level.
The first half of the turial covers an overall introduction for the IMC macro compiler, namely automatic synthesis and physical design tools for IMC macros. A circuit generator and agile placement-and-routing tool for both analog and digital IMC macros is first demonstrated. In addtion, an improved version of robust IMC complier with MBIST is discussed, which supports configuration of integer and floating point modes.
The second half will introduce several architectural level tools for IMS system design. It includes an automatic architecture synthesis tool for generating NVM crossbar-based DNN accelerators, a universal compilation framework for PIM DNN accelerators, and a full-system PIM simulator to facilitate circuit-, architecture- and system-level researches.


Tutorial Speakers

Chixiao Chen

Chixiao Chen received the B.S. and Ph.D. degrees in microelectronics from Fudan University, Shanghai, China, in 2010 and 2015, respectively.,In 2015, he worked at Calterah Inc., Shanghai, as an Analog/Mixed Signal Circuit Design Engineer. From 2016 to 2018, he was a Postdoctoral Research Associate with the University of Washington, Seattle, WA, USA. In 2019, he joined Fudan University, where he is currently an Associate Professor with the Frontier Institute of Circuits and Systems. He is also the Director of the Integrated Chips Innovation Center of the State Key Laboratory of Integrated Chips and Systems, Fudan University. His current research interests include mixed-signal integrated circuit design and custom intelligent software–hardware codesigns. He was a recipient of the NSFC Excellent Young Scientists Fund and serve as a TPC Member for A-SSCC

Xiaoming Chen

Xiaoming Chen received the BS and PhD degrees in electronic engineering from Tsinghua University, Beijing, China, in 2009 and 2014, respectively. He is now an associate professor with the Institute of Computing Technology, Chinese Academy of Sciences. His current research interests include design automation for integrated circuits and computer architectures. He has published more than 120 papers in DAC, ICCAD, HPCA, MICRO, ASPLOS, IEEE TCAD, IEEE TC, etc. He was a recipient of the NSFC Excellent Young Scientists Fund, 2016 European Design and Automation Association (EDAA) Outstanding Dissertation Award, 2018 DAMO Academy Young Fellow Award, and ASP-DAC 2022 Best Paper Award.

Boolean Satisfiability Solving, State-of-the-Art

Abstract: The Boolean satisfiability (SAT) problem, a fundamental issue in computer science, revolves around determining the existence of an interpretation that satisfies a given Boolean formula, typically represented in conjunctive normal form (CNF). It holds the distinction of being the first problem proven to be NP-complete, highlighting its significance in computational complexity theory.
The realm of SAT solvers has witnessed remarkable growth, with numerous techniques developed and applied across various domains. In fields such as electronic design automation (EDA), SAT-based methodologies play a pivotal role in logic reasoning tasks such as equivalence checking, SAT sweeping, and automatic test pattern generation (ATPG). Conversely, EDA techniques can be harnessed to enhance SAT-solving efficiency through avenues such as circuit-based SAT solvers, logic synthesis, and AI-driven approaches.
In this tutorial, we have planned three talks to address different aspects of SAT problem-solving. Our first session will provide an in-depth exploration of SAT fundamentals and elucidate recent advancements in solving techniques. Following this, our second talk will spotlight the synergy between logic synthesis and AI-driven methodologies in boosting SAT-solving capabilities. Finally, our third session will introduce a novel reasoning engine based on semi-tensor product and circuit-based SAT solvers, offering a fresh perspective on tackling SAT problems.


Tutorial Speakers

Shaowei Cai

Shaowei Cai is a Professor at Institute of Software, Chinese Academy of Sciences. He received his PhD degree from Peking University with Distinguished Doctoral Dissertation Award. His research interests include constraint solving and formal verification. He proposed a powerful hybrid approach for SAT, which has been widely used in state of the art CDCL solvers. He has received the Best Paper Award at SAT 2021 conference. He has won more than 10 gold medals in SAT and SMT Competitions, and his solvers were ranked 1st many times in MaxSAT Evaluations. He has also led a team working on EDA formal verification tools.

Qiang Xu

Qiang Xu is a Professor at The Chinese University of Hong Kong, his current research interests include large circuit models, EDA, and AI in general. He has published 180+ papers with 8000+ citations, including several best papers at prestigious conferences and an ICCAD Ten Year Retrospective Most Influential Paper. He has supervised ~20 Ph.D. dissertations and his students have won EDAA Outstanding Dissertation Award and the semi-finals of IEEE TTTC Doctoral Thesis Award.

Zhufei Chu

Zhufei Chu received the B.S. degree in electronic engineering from Shandong University, Weihai, China, in 2008 and the M.S. and Ph.D. degrees in communication and information system from Ningbo University, Ningbo, China, in 2011 and 2014, respectively. He was a Postdoctoral Fellow at the Ecole Polytechnique Fedederale de Lausanne (EPFL) during 2016 to 2017. He is currently a Full Professor at Ningbo University, Ningbo, China. He serves as the proceedings chair (2019-2021) and finance chair (2022-2023) of the international workshop on logic and synthesis (IWLS), technical program chair (2023) of international conference on circuits and systems (ICCS), digital design & verification track chair (2023-2024) of the IEEE international symposium of EDA (ISEDA), and also technical program committee members for design automation conference (DAC), IWLS, and international conference on VLSI design (VLSID). He is actively maintaining the logic synthesis framework ALSO (https://gitee.com/zfchu/also). His current research interests include the many aspects of logic synthesis and its applications.

Benchmark for 2023 Integrated Circuit EDA Elite Challenge

Abstract: This tutorial focuses on the benchmarks of the four competition problems from the 2023 Integrated Circuit EDA (Electronic Design Automation) Elite Challenge. It emphasizes the design philosophy, application scope, and usage process of these benchmarks. The expectation is that through this tutorial, more scholars and students can be assisted, thereby promoting research and exploration in the related fields.


Tutorial Speakers

Huan Kan
Benchmark for Ultra-Large-Scale Layout Pattern Matching Algorithm

Huan Kan serves as the EDA product director at Semitronix corporation and possesses nearly 20 years of experience within the semiconductor industry. Having held positions at leading domestic IC manufacturing and EDA software companies like SMIC, HLMC and Semitronix corporation, he has served in various roles including Manager of DFM R&D Department and EDA product director. He has led the development of DFM technologies for multiple advanced process nodes, including Finfet processes. He is also one of the primary question setters for the "Ultra-Large Scale Layout Pattern Matching Algorithm" competition problem in the Integrated Circuit 2023 EDA Elite Challenge.

Zhenghua Qi
Benchmark for VCD-Based FSM Coverage Statistics

Zhenghua Qi is Vice President of X-EPIC CORPORATION LIMITED. He graduated from Fudan University and the University of Colorado. He used to work at Synopsys, engaged in EDA validation product development for more than 20 years. Participated in and took charge of several major strategic projects of the company. Responsible for the establishment of Synopsys China Verification Technology R&D Center. Led and took charge of several major strategic and technical projects. Such as global standard digital emulator (VCS) compiler, UVM industry standard development, System Verilog various functional support, Partition Compile technology, parallel and distributed compilation, etc. To provide professional technical support for domestic and foreign first-class semiconductor design companies. During his tenure, he established and managed a number of research and development teams with a size of over 100 people. He has recruited and trained dozens of technical backbones from key universities domestic and overseas.

Shuai Wu
Machine Learning Driven Static IR Drop Estimation of SoC Power Grid Network

Shuai Wu, an expert in Phlexing’s product R&D, has more than 10 years’ experience in RC and EMIR tools development. He has solid working experience with Fabs for projects of manufacturing chips with advanced nodes. He has profound knowledge-how about iterating with the advanced process odes.

Liwei Ni
Intelligent Flow for Logic Optimization and Technology Mapping

Liwei Ni received the M.Eng degree in Software Engineering at Beihang University, Beijing, China in 2021. Currently, he is pursuing Ph.D. degree in Computer Architecture at the Institute of Computing Technology, Chinese Academy of Sciences, Beijing, China, and is jointly trained with Pengcheng Laboratory. His research focues on the logic synthesis.

Enabling Large Language Models in EDA

Abstract: In this tutorial, we aim to showcase the transformative potential of large language models (LLMs) within the field of Electronic Design Automation (EDA). This session will explore a range of pioneering research efforts that leverage LLMs to address various EDA challenges, including "ChatEDA: A Large Language Model Powered Autonomous Agent for EDA," which introduces an LLM-powered tool for automating EDA tasks; "BetterV: Controlled Verilog Generation with Discriminative Guidance," focusing on generating more accurate Verilog code through LLMs; "SoLA: Solver-Layer Adaption of LLM for Better Logic Reasoning," which enhances LLMs' capability for logical reasoning in EDA applications. Participants will gain insights into the latest advancements in LLM applications for improving design automation, verification processes, and debugging efficiency, setting a new benchmark for innovation in EDA.


Tutorial Speakers

Bei Yu

Prof. Bei Yu is currently an Associate Professor in the Department of Computer Science and Engineering, The Chinese University of Hong Kong. He has served as TPC Chair of ACM/IEEE Workshop on Machine Learning for CAD, and in many journal editorial boards and conference committees. He is Editor of the IEEE TCCPS Newsletter. He received ten Best Paper Awards from IEEE TSM 2022, DATE 2022, ICCAD 2021 & 2013, ASPDAC 2021 & 2012, ICTAI 2019, the VLSI Journal in 2018, ISPD 2017, SPIE Advanced Lithography Conference 2016, and six ICCAD/ISPD contest awards.

Zhuolun He

Dr. Zhuolun He is currently a postdoctoral fellow at the Department of Computer Science and Engineering, Chinese University of Hong Kong, supervised by Prof. Bei Yu. He received his Ph.D. degree from CUHK in 2023, and his B.Sc. degree from Peking University in 2017. His research interests include LLM empowered EDA, efficient physical verification, and netlist representation learning.

Hui-Ling Zhen

Dr. Hui-Ling Zhen is a principle research staff in Noah’s Ark Lab, Huawei, Hong Kong. Before that, she is a post-doctoral research fellow in City University of Hong Kong, after she received the Ph.D degree in applied mathematics. Since joining Huawei, she has participated in the developments of mathematical programming solver, automatic test vector generation, logical equivalence testing, and model checking. She is also working on the research of next-generation EDA-tool enabled by LLM. Unitl now, she has published over 60 peer-reviewed papers in mainstream conferences and journals.

Mingxuan Yuan

Mingxuan Yuan received the Ph.D. degree in computer science from Hong Kong University of Science and Technology, China in 2011. He is currently a principal researcher of Huawei Noah′s Ark Laboratory, China.      His research interests include data-driven optimization algorithms, data-driven SAT/MIP solving algorithms and datadriven EDA algorithm.

iEDA: An Open-source Physical Design EDA Infrastructure and Toolchain

Abstract: By harnessing the capabilities of open-source software, the EDA tool provides an economical and adaptable solution suitable for designers, researchers, and enthusiasts alike. Open-source EDA fosters collaboration, innovation, and the exchange of knowledge within the EDA community. It underscores the pivotal role of the toolchain in expediting the development of electronic systems, while concurrently mitigating design expenses and enhancing design excellence. This tutorial centers on an open-source EDA infrastructure, iEDA, which is designed to establish a fundamental infrastructure for the evolution of EDA technology and bridge the gap between industry and academia in the EDA domain. To showcase the efficacy of iEDA, we have successfully fabricated and validated four chips of varying scales (ranging from 700k to 500M gates) using different process nodes (110nm and 28nm) with iEDA. iEDA is publicly accessible via the project's homepage at https://github.com/OSCC-Project/iEDA.


Tutorial Speakers

Xingquan Li

Xingquan Li is an associate researcher at Peng Cheng Laboratory (PCL). He received the Ph.D degree from Fuzhou University in 2018. His research interesting includes EDA and AI for EDA. His team has developed an open-source EDA infrastructure (iEDA). He has published over 40 papers in journals and conferences such as TCAD, TC, TVLSI, TODAES, DAC, ICCAD, DATE, ICCD, ASP-DAC, and has filed 13 invention patents. He has achieved first-place award from ICCAD@CAD Contest three times in 2017, 2018, and 2022. In 2020, he was honored with the Operational Research Application Award from the Chinese Operations Research Society. In 2023, he received the Best Paper Award from ISEDA.

Zengrong Huang

Zengrong Huang is an engineer at Peng Cheng Laboratory (PCL)

Simin Tao

Simin Tao is an engineer at Peng Cheng Laboratory (PCL)

Shijian Chen
Teaching Assistant

Shijian Chen is a Ph.D student at Peng Cheng Laboratory (PCL)

Zhisheng Zeng
Teaching Assistant

Zhisheng Zeng is a Ph.D student at Peng Cheng Laboratory (PCL)

He Liu
Teaching Assistant

He Liu is a Ph.D student at Peking University

Weiguo Li
Teaching Assistant

Weiguo Li is a Master Student at Minnan Normal University

Introducing Building Blocks of DTCO from GWX Technology


Abstract: For EDA vendors, DTCO (Design-Technology Co-Optimization) process enables wafer fabs and chip design companies to maintain close cooperation, reducing the development and usage risks of new semiconductor processes. OPC and Standard Cell Characterization are important building blocks in such flow. In LFD (Litho-Friendly Design)process, lithography hotspot pattern library is created at early-stage process nodes, which are then refined using previous models, machine learning, and OPC verification for accuracy. In practical design, pattern matching algorithms are employed to identify and correct hotspots within the design layouts (Hot-Spot Aware P&R). The established hotspot pattern library can be validated and optimized with real manufacturing data, ensuring its effectiveness for improved chip yield and manufacturability. Advanced device structures (such as FinFETs and GAA) cause self-heating issues, which further lead to problems with chip reliability (degradation). At the same time, chip design must ensure a zero-defect rate after manufacture and a longer operational lifespan, presenting significant challenges to chip design.
In this tutorial, GWX Library Characterization team introduces a highly accurate and efficient aging-aware stress analysis flow for CMOS circuits, incorporating a novel logical-resolving method and a machine learning-driven reliability analysis that significantly enhances STA efficiency and accuracy, guiding early-stage circuit design towards greater reliability. GWX Litho-team demonstrates a complete OPC flow and explains the concept of LFD in such process.
SMiXS team offers comprehensive chip design, verification, and mass production services, along with system-level package solutions and strategic partnerships, to enhance competitiveness and meet both Moore's Law and More-than-Moore demands, demonstrating success through advanced wafer processes and strong customer and supplier relationships.

Tutorial Speakers

Lucas Lyu

Lucas Lyu received the B.Eng. degree in electrical information engineering and the M.Eng. degree in computer engineering. His research interests include machine learning and applications in Library Characterization.
Title:Logical Resolving-Based Methodology and Machine Learning for Aging-Aware Library Characterization

Lisa Wei

Lisa Wei received her BS and MS in Chemistry . She had her first experience in semiconductor tape out at Semiconductor R&D center, Samsung Electronics. Since that she has been engaged in lithography modeling.
Title:OPC Introduction and Demonstration using EsseOPC (Part 1)

Albert Pei

Albert Pei received the B.E. degree in optical information of science and technology and the M.E. degree in optical engineering. He is experienced in rule-based and model-based OPC application.
Title:OPC Introduction and Demonstration using EsseOPC (Part 2)

Grant Feng

Grant Feng holds a Ph.D degree. He has widely exposed to technology R&D, design ecosystem development, analog and digital IC design, supply chain management and business development.
Title:One-stop chip design, verification and production service by SMiXS

Chip Verification Solution Based on Formal Verification

By GWX

Abstract: Verification technology plays a pivotal role in ensuring the correctness of integrated circuit. Formal verification constitutes an integral component of verification technology.With the advanced technology development, formal verification is also experiencing growth and refinement. This presentation will conduct an in-depth exploration of multiple significant aspects of formal verification technology, including the development status of chip verification techniques, an introduction to formal verification methodologies, C/RTL-to-RTL equivalence checking,RTL/Netlist-to-Netlist equivalence checking, and model checking.

Tutorial Speakers

Liva Ye

Liva Ye, received her Bachelor of Engineering degree in Communication Engineering. She is currently immersed in the field of Electronic Design Automation (EDA) research and development. Her primary research areas are the development and application of formal verification tools.

Practical Training: Application of Chip Verification Solutions

By S2C

Abstract: This training course aims to provide an in-depth understanding of the core functionalities of S2C's digital EDA products and to analyze in detail the key challenges encountered in the chip design process and the corresponding strategies provided by EDA tools.
The course will use the "XiangShan" project from BOSC as an illustrative example, enabling participants to develop a systematic understanding of IC design verification processes and acquire comprehensive knowledge of prototyping analysis and practical skills. Additionally, the training will emphasize key points and practical challenges that are encountered during actual operations, helping participants establish a close connection between theoretical learning and practical application, effectively enhancing their practical abilities.

Tutorial Speakers

Cindy Liang

PM, S2C. Cindy Liang has 8 years of hardware design and 5 years of architecture simulation experience in the semiconductor industry. She graduated from Northwestern Polytechnical University with a bachelor's degree and a master's degree from Xi'an Institute of Microelectronics Technology in computer architecture.

Training and Demonstration of EDA Tools for the Full Process of RF Circuit Design

By Empyrean Technology Co., Ltd

Abstract: This training is based on Empyrean's full process EDA tool for RF circuit design. It provides a detailed introduction to Empyrean Technology and its full process products, helping everyone understand Empyrean's tools and design process. This includes RF model extraction tool, RF circuit schematic editing tool, RF circuit layout editing tool, RF circuit simulation tool, RF circuit physical verification tool, etc., providing users with a complete solution from circuit to layout, from design to simulation verification.


Tutorial Speaker

Han Yu

Marketing Cooperation Director of Empyrean Technology, with the Chinese National Senior Engineer Title, graduated from Beihang University with Master Degree in 2007. He worked for Empyrean Technology Corp.(Empyrean) from 2010 till now.
He is now the Senior Technical Marketing Director of Empyrean, leading some business including external technical corporation and university programs.
He has published over 10 articles on academic journals such as IEEE-DAC, ACM-GLSVLSI, China Integrated Circuit etc. as the first author. He has also served as a review expert of the "National Project of Micro-Nano Electronics", the deputy director of the editorial board of "National Vocational and Technical Skill Standards - Integrated Circuit Engineering", and the director of Guangdong EDA Engineering Center.

Design Enablement Solution for Novel Semiconductor Devices Research

By Primarius

Abstract: The advancement in semiconductor research has led to the development of novel devices and materials with superior performance. Integrating these innovations into existing chip design flow is essential, requiring the creation of SPICE models, Process Design Kits (PDKs).
The development of SPICE models and PDKs for novel devices places high demands on the flexibility and scalability of EDA tool. Primarius’s EDA products address these requirements comprehensively, offering robust support for these tasks.
This tutorial, “Design Enablement Solution for Novel Semiconductor Devices Research,” focuses on novel semiconductor devices such as wide bandgap semiconductors (SiC, GaN etc.), Thin-Film Transistors (TFT), and superconducting Josephson junctions. It offers unique hands-on experimental opportunities for participants to directly engage with these technologies using Primarius’s tools.
This session will enable attendees to understand and apply the methodologies necessary for incorporating high-performance novel devices into mainstream chip designs. Join us to explore essential techniques in novel devices design enablement and transition pioneering research into practical applications.


Tutorial Speaker

Chen Le Le

Primarius, R&D Senior Director, graduated from the Shanghai Institute of Microsystem and Information Technology at the Chinese Academy of Sciences, earning a Ph.D. in Microelectronics and Solid State Electronics. Previously worked at HHGrace and SMIC, where he was involved in the development of 0.18um SiGe HBT BiCMOS process technology, 28nm HKMG process technology, and advanced FinFET process technology. As the first author, he has published 4 technical articles in domestic and international journals and conferences and holds over 20 patents, including one U.S. patent.

Qin Chao Zheng

Primarius, Senior Manager in the New Solution Department, holding a Master’s degree in Electromobility Engineering from Chemnitz University of Technology, Germany. Mentored by Professor Josef Lutz, a renowned power semiconductor expert, with specialization in IGBT device modeling, simulation, and testing. Currently focusing on the development and integration of third-generation semiconductor solutions.

More tutorials will be updated soon.